魏哲家領軍台積電技術論壇首站北美揭幕,發表 A16 先進製程及多項技術

作者 | 發布日期 2024 年 04 月 25 日 7:35 | 分類 半導體 , 封裝測試 , 晶圓 line share follow us in feedly line share
魏哲家領軍台積電技術論壇首站北美揭幕,發表 A16 先進製程及多項技術


台積電 24 日揭幕的 2024 年北美技術論壇,揭示最新製程、先進封裝、三維積體電路 (3D IC)術,藉領先半導體技術驅動下世代人工智慧 (AI) 創新。

台積電北美技術論壇首度發表 TSMC A16,結合領先奈米片電晶體及創新背面電軌 (backside power rail) 解決方案以大幅提升邏輯密度及效能,2026 年量產。另系統級晶圓 (TSMC-SoWTM),帶來革命性晶圓級效能優勢,滿足超大規模資料中心的 AI 需求。

適逢台積電北美技術論壇舉辦 30 週年,出席人數從 30 年前不到 100 位,增加到今年超過 2,000 位。北美技術論壇於加州聖塔克拉拉市舉行,為接下來幾個月全球技術論壇揭開序幕,亦設置創新專區,展示新興客戶的成果。

總裁魏哲家指出,身處 AI 賦能世界,人工智慧不僅是資料中心,也內建個人電腦、行動裝置、汽車、物聯網。台積電提供最完備技術,從全世界最先進矽晶片到最廣泛先進封裝組合與 3D IC 平台,再到串連數位世界與現實世界的特殊製程技術,以實現 AI 願景。

新技術有:

TSMC A16

N3E 進入量產及 N2 於 2025 下半年量產,台積電推出新技術 A16。A16 結合超級電軌 (Super PowerRail) 架構與奈米片電晶體,2026 年量產。超級電軌將供電網路移到晶圓背面,晶圓正面釋出更多訊號網路空間,提升邏輯密度和效能,讓 A16 適用複雜訊號佈線及密集供電網路的高效能運算 (HPC) 產品。相較台積電 N2P 製程,A16 相同 Vdd (工作電壓) 下,速度增加 8%~10%,相同速度功耗降低 15%~20%,晶片密度提升高達 1.10 倍,支援資料中心產品。

台積電創新 NanoFlex 支援奈米片電晶體

台積電 N2 將搭配 TSMC NanoFlex,展現台積電設計技術協同最佳化的嶄新突破。TSMC NanoFlex 為晶片設計人員提供靈活 N2 標準元件,是晶片設計的基本構建模組,高度較低元件能節省面積並有更高功耗效率,高度較高元件效能最大化。相同設計區塊最佳化高低元件組合,調整應用功耗、效能及面積取得最佳平衡。

N4C

台積電 N4C 因應更廣泛應用,延續 N4P,晶粒成本降低高達 8.5% 且門檻低,2025 年量產。N4C 有面積效益的基礎矽智財及設計法則,與 N4P 完全相容,客戶可輕鬆轉到 N4C,晶粒尺寸縮小亦提高良率,為強調價值為主的產品提供有成本效益的選擇,以升級到台積電下個先進技術。

CoWoS、系統整合晶片、系統級晶圓 (TSMC-SoW)

台積電 CoWoS 是 AI 革命的關鍵技術,單一中介層並排放置更多處理器核心及高頻寬記憶體 (HBM)。台積電系統整合晶片 (SoIC) 已成 3D 晶片堆疊的領先解決方案,客戶趨向採用 CoWoS 搭配 SoIC 及其他元件,以實現系統級封裝 (System in Package,SiP) 整合。

台積電系統級晶圓提供革新選項,讓 12 吋晶圓容納大量晶粒,有更多運算能力,大幅減少資料中心空間,並將每瓦效能提升好幾級。台積電量產首款 SoW 產品採邏輯晶片為主整合型扇出 (InFO),CoWoS 晶片堆疊版 2027 年準備就緒,整合 SoIC、HBM 及其他元件,打造強大且運算能力媲美資料中心伺服器機架,甚至整台伺服器的晶圓級系統。

矽光子整合

台積電正在研發緊湊型通用光子引擎 (COUPETM),支援 AI 熱潮使數據傳輸爆炸性成長。COUPE 以 SoIC-X 晶片堆疊將電子裸晶堆疊在光子裸晶上,相較傳統堆疊,使裸晶對裸晶介面有最低電阻及更高能源效率。2025 年完成支援小型插拔式連接器的 COUPE 驗證,2026 年整合 CoWoS 封裝成共同封裝光學元件 (Co-Packaged Optics,CPO),光連結直接導入封裝。

車用先進封裝

2023 年推出支援車用客戶 N3AE 製程後,台積電藉整合先進晶片與封裝持續滿足車用客戶需求,以符合行車安全與品質要求。台積電正在研發 InFO-oS 及 CoWoS-R 解決方案,支援先進駕駛輔助系統 (ADAS)、車輛控制及中控電腦等,2025 年第四季完成 AEC-Q100 第二級驗證。

(首圖來源:台積電提供)